Discussion:
[Hackrf-dev] why cpld code adc_data_i xor 0x80 0x7F, what is this mean
2017-11-05 13:39:40 UTC
Permalink
process(host_clk_i)
begin
if rising_edge(host_clk_i) then
if codec_clk_i = '1' then
-- I: non-inverted between MAX2837 and MAX5864
data_to_host_o <= adc_data_i xor X"80";
else
-- Q: inverted between MAX2837 and MAX5864
data_to_host_o <= adc_data_i xor rx_q_invert_mask;
end if;
end if;
end process;

Loading...